云天勵飛“算力積木”架構(gòu):引領邊緣AI芯片新變革徹底告別3999元!小米15入網(wǎng) 支持90W快充FF發(fā)布第二品牌Faraday X:對標豐田 專注增程式混動車型黑神話悟空總收入超67億:銷量已超2000萬份通快成立激光業(yè)務區(qū)域中心(中國),強勢布局中國市場Sandalwood Advisors受邀參加第31屆中信里昂投資者論壇淘寶倒逼新風向:一場電商減負運動博浪AI時代,阿里、華為“硬碰硬”Youtube將推出人工智能工具 可生成創(chuàng)意、標題甚至完整視頻申通、圓通、韻達發(fā)布 8 月簡報:快遞業(yè)務量、收入均同比增長,單票收入均下降蘋果iPhone 16系列開啟發(fā)售,Max最高加2500元TECNO 全球發(fā)布二代折疊屏旗艦—PHANTOM V Fold2 5G及PHANTOM V Flip2 5G科大訊飛還是AI“小甜甜”嗎?消息稱美團外賣調(diào)整經(jīng)營目標,從追求 GMV 變?yōu)樽非笥唵瘟?/a>消息稱淘寶“大服飾全球包郵計劃”升級,品類“擴容”至全行業(yè)Brightband獲1000萬美元融資,可用AI預測極端天氣菊樂股份再次申報IPO:四度闖關未果,超七成收入來自四川傳AI芯片設計公司Ampere尋求出售,或放棄IPO引領通用具身新時代:普渡發(fā)布首款類人形機器人PUDU D7辦公軟件的超級英雄?金山WPS AI會員人數(shù)破百萬,鴻蒙版全面開跑
  • 首頁 > 企業(yè)IT頻道 > 人工智能

    AI浪潮,帶動存儲芯片再進化

    2024年03月05日 21:54:54   來源:半導體行業(yè)觀察

      全球產(chǎn)業(yè)數(shù)位化,數(shù)位資料規(guī)模攀升,加上AI技術興起,全球?qū)Y料處理、大數(shù)據(jù)分析與AI應用的需求快速增長,間接提高對支援高效能運算(HPC)與AI運算的硬體裝置及芯片要求。以云端資料中心伺服器來說,HPC與AI運算需求下,需要搭配升級的芯片包含作為運算核心的中央處理器(CPU)與圖形處理器(GPU)、伺服器基板管理芯片(BMC)、電源管理芯片(PMIC)、高速傳輸芯片,以及存儲等。

      其中,存儲除用于長期儲存資料、屬于非揮發(fā)性存儲的NAND Flash固態(tài)硬盤(SSD),也包含用于即時高速運算暫存資料、屬于揮發(fā)性存儲的靜態(tài)隨機存取存儲(SRAM)與動態(tài)隨機存取存儲(DRAM)。

      存儲在芯片運算過程中的主要作用,是暫存運算過程中的中間值或參數(shù)。傳統(tǒng)的暫存用存儲可區(qū)分為芯片內(nèi)部的快閃(Cache)存儲與外部連接的DRAM。隨著運算效能持續(xù)提升,芯片對內(nèi)部與外部存儲的容量與資料存取速率要求提高,特別是內(nèi)部Cache存儲。在封裝的空間尺寸有限下,將小芯片(Chiplet)透過先進封裝在單一芯片內(nèi)形成更高密度的堆疊整合,成為提高芯片內(nèi)部存儲容量的重要選項。

      先進封裝技術發(fā)展針對芯片運算效能與功能持續(xù)提升的需求,透過中介層、矽穿孔與微凸塊等技術達成2.5D/3D的小芯片堆疊,使業(yè)者能在更小空間內(nèi)達成更多運算單元與芯片功能整合。超微(AMD)的Ryzen 7 5800X3D芯片就是存儲小芯片與CPU堆疊整合的例子:透過在CPU上方堆疊64MB的SRAM存儲小芯片,將CPU原本32MB的Cache存儲擴充為96MB,使CPU運算效能提升15%。

      不過,用于HPC或AI運算的高階GPU芯片,如英偉達(NVIDIA)的H100與超微的MI300,其主要運算架構(gòu)是以GPU運算核心搭配可快速大量存取傳輸資料的高頻寬存儲( HBM ),二者透過先進封裝技術,也就是臺積電的CoWoS 2.5D封裝技術在中介層上整合連接。

      HBM是超微與存儲大廠SK海力士、聯(lián)電、日月光等伙伴合作開發(fā),SK海力士在2015年量產(chǎn)第一代HBM(HBM1),導入超微Radeon Rx300 GPU芯片。隨后南韓與存儲大廠Samsung Electronics與Micron Technology也投入HBM開發(fā)。其主要結(jié)構(gòu)是由多層DRAM存儲小芯片形成的高容量存儲垂直堆疊,最下層是HBM的控制芯片。堆疊中上一層DRAM與下一層DRAM間的訊號透過微凸塊連接,而上一層DRAM的訊號可穿過下一層DRAM的矽穿孔與更下層的DRAM甚至最下層的控制芯片連接,再向下傳遞至基板。垂直堆疊的短距離確保層與層間的訊號傳輸快速且耗能低,間接提升運算效能。

      在CoWoS架構(gòu)下,GPU運算核心可搭配多個HBM堆疊。目前全球已發(fā)展到HBM3的最新規(guī)格,在HBM堆疊數(shù)、垂直堆疊層數(shù)及層間訊號連接通道數(shù)都有增加;如從HBM2到HBM3,堆疊數(shù)可從八個增至16個,有效提升存儲的資料容量與存取傳輸速率。

      HBM主要是搭配GPU這類高運算效能芯片,本身主要結(jié)構(gòu)采用3D堆疊的先進封裝制作,再以CoWoS先進封裝與GPU運算核心整合,形成完整的GPU芯片。若非GPU采用7奈米以下先進制程制作,是屬于高單價產(chǎn)品,要以先進封裝整合HBM的芯片生產(chǎn)成本是難以承受。在超微Ryzen 7 5800X3D芯片的例子中,CPU上方堆疊SRAM小芯片,為提高存儲容量,也需以先進制程制作SRAM,成本高昂。

      針對智能物聯(lián)網(wǎng)(AIoT)應用所需中等算力需求,有半導體業(yè)者提出非先進制程運算芯片搭配客制化DRAM存儲的解決方案,將存儲與運算芯片以3D封裝垂直堆疊。所謂的客制化DRAM存儲,是根據(jù)運算芯片的電路與內(nèi)連線的接觸電極分布,設計出DRAM芯片的電路與資料存取傳輸通道位置,使運算芯片與垂直堆疊的DRAM小芯片之間能有高效率的資料存取傳輸,以提升運算效能。運算芯片是以AIoT應用所需的單芯片(SoC)或特殊應用芯片(ASIC)為主,而DRAM高于SRAM的存儲密度,讓DRAM小芯片在不采用先進制程下可擁有相當于SRAM小芯片的容量,也是成本優(yōu)勢。

      有存儲業(yè)者與晶圓代工業(yè)者、封測業(yè)者、IC設計業(yè)者合作,構(gòu)建解決方案平臺,依照應用需求,完成ASIC、DRAM以及二者封裝連接與散熱等需求的完整設計。無論是ASIC與DRAM都采用成熟制程制作,相較HBM、SRAM與先進制程運算芯片的組合,成本降低,可因應應用開發(fā)業(yè)者對成本結(jié)構(gòu)的要求。

      因應日漸增加的AI應用,存儲以小芯片或HBM等不同的樣態(tài),可透過先進封裝技術與運算芯片形成單一芯片封裝,支持不同類型的運算需求,也促成半導體產(chǎn)業(yè)鏈跨領域多元整合的生態(tài)體系發(fā)展。

      【來源:半導體行業(yè)觀察】

      文章內(nèi)容僅供閱讀,不構(gòu)成投資建議,請謹慎對待。投資者據(jù)此操作,風險自擔。

    即時

    TCL實業(yè)榮獲IFA2024多項大獎,展示全球科技創(chuàng)新力量

    近日,德國柏林國際電子消費品展覽會(IFA2024)隆重舉辦。憑借在核心技術、產(chǎn)品設計及應用方面的創(chuàng)新變革,全球領先的智能終端企業(yè)TCL實業(yè)成功斬獲兩項“IFA全球產(chǎn)品設計創(chuàng)新大獎”金獎,有力證明了其在全球市場的強大影響力。

    新聞

    敢闖技術無人區(qū) TCL實業(yè)斬獲多項AWE 2024艾普蘭獎

    近日,中國家電及消費電子博覽會(AWE 2024)隆重開幕。全球領先的智能終端企業(yè)TCL實業(yè)攜多款創(chuàng)新技術和新品亮相,以敢為精神勇闖技術無人區(qū),斬獲四項AWE 2024艾普蘭大獎。

    企業(yè)IT

    重慶創(chuàng)新公積金應用,“區(qū)塊鏈+政務服務”顯成效

    “以前都要去窗口辦,一套流程下來都要半個月了,現(xiàn)在方便多了!”打開“重慶公積金”微信小程序,按照提示流程提交相關材料,僅幾秒鐘,重慶市民曾某的賬戶就打進了21600元。

    3C消費

    “純臻4K 視界煥新”——愛普生4K 3LCD 激光工程投影

    2024年3月12日,由愛普生舉辦的主題為“純臻4K 視界煥新”新品發(fā)布會在上海盛大舉行。

    研究

    2024全球開發(fā)者先鋒大會即將開幕

    由世界人工智能大會組委會、上海市經(jīng)信委、徐匯區(qū)政府、臨港新片區(qū)管委會共同指導,由上海市人工智能行業(yè)協(xié)會聯(lián)合上海人工智能實驗室、上海臨港經(jīng)濟發(fā)展(集團)有限公司、開放原子開源基金會主辦的“2024全球開發(fā)者先鋒大會”,將于2024年3月23日至24日舉辦。