中國品牌,讓東南亞感受“消費(fèi)升級”小紅書本地“坐抖望團(tuán)”CrowdStrike“全球滅霸響指”事件后續(xù),德國 10% 企業(yè)更換安全供應(yīng)商導(dǎo)致 1TB 數(shù)據(jù)泄露后,迪士尼宣布棄用 Slack 平臺合合信息啟信產(chǎn)業(yè)大腦攜手市北新區(qū)打造“一企一畫像”平臺,加速數(shù)字化轉(zhuǎn)型重慶:力爭今年智能網(wǎng)聯(lián)新能源汽車產(chǎn)量突破 100 萬輛,到 2027 年建成萬億級產(chǎn)業(yè)集群微信iOS最新版上線:iPhone用戶可在朋友圈發(fā)實(shí)況照片了蘋果有線耳機(jī)或?qū)⑼.a(chǎn)沖上熱搜!閑魚相關(guān)搜索量暴漲384%2024 vivo開發(fā)者大會官宣:OriginOS 5/自研藍(lán)河系統(tǒng)2降臨真·AI程序員來了,阿里云「通義靈碼」全面進(jìn)化,全流程開發(fā)僅用幾分鐘東方甄選烤腸全網(wǎng)銷量及銷售額領(lǐng)先鴻蒙PC要來了 界面很漂亮!余承東:目前華為PC將是最后一批搭載Windows上半年中國AR/VR出貨23.3萬臺,同比下滑了 29.1%IDC:2024 上半年中國 AR / VR 頭顯出貨 23.3 萬臺,同比下滑 29.1%英特爾AI加速器Gaudi3下周發(fā)布,挑戰(zhàn)NVIDIA統(tǒng)治地位!大屏技術(shù)邂逅千年色彩美學(xué)!海信激光電視成為電影《只此青綠》官方合作伙伴OpenAI將最新AI模型o1擴(kuò)展到企業(yè)和教育領(lǐng)域三星新專利探索AR技術(shù)新應(yīng)用:檢測屏幕指紋殘留,提高手機(jī)安全性猛瑪傳奇C1:直播圖傳技術(shù)的革新者JFrog推出首個(gè)運(yùn)行時(shí)安全解決方案,實(shí)現(xiàn)從代碼到云的全面軟件完整性和可追溯性
  • 首頁 > 數(shù)據(jù)存儲頻道 > 數(shù)據(jù).存儲頻道 > 半導(dǎo)體

    先進(jìn)封裝標(biāo)準(zhǔn)要統(tǒng)一,芯片三巨頭誰答應(yīng)?

    2024年07月30日 10:56:35   來源: 微信公眾號:半導(dǎo)體產(chǎn)業(yè)縱橫

      最近,有專家呼吁業(yè)界盡早統(tǒng)一封測技術(shù)標(biāo)準(zhǔn),特別是先進(jìn)封裝。

      SEMI日本辦事處總裁Jim Hamajima表示,芯片行業(yè)需要更多后端生產(chǎn)流程的國際標(biāo)準(zhǔn),以使英特爾和臺積電等晶圓廠能夠更有效地提高產(chǎn)能。當(dāng)前,臺積電、英特爾等公司都在建設(shè)自家的先進(jìn)芯片封裝技術(shù)體系和生態(tài)系統(tǒng),都在使用不同的標(biāo)準(zhǔn),這樣的話,生產(chǎn)效率并不高。

      Jim Hamajima表示,包括芯片封裝和測試在內(nèi)的后端工藝比芯片制造的前端工藝(如光刻)更加“分裂”,而光刻等前端工藝廣泛使用了SEMI制定的標(biāo)準(zhǔn)。他認(rèn)為,隨著公司追求更強(qiáng)大的芯片,這可能會影響行業(yè)的利潤水平。

      01芯片制造門檻高,標(biāo)準(zhǔn)易統(tǒng)一

      芯片制造是半導(dǎo)體產(chǎn)業(yè)門檻最高的板塊,投資高、玩家少。目前,在先進(jìn)制程芯片制造領(lǐng)域,僅剩下臺積電、三星和英特爾這三家了。

      芯片制造過程需要2000多道工序,可以分為8大步驟,包括:

      光刻,它是通過曝光和顯影程序,把光罩上的圖形轉(zhuǎn)換到光刻膠下面的晶圓上,光刻主要包含感光膠涂布、烘烤、光罩對準(zhǔn)、曝光和顯影等程序。曝光方式包括:紫外線、極紫外光、X射線、電子束等。

      刻蝕,它是將材料使用化學(xué)反應(yīng)或物理撞擊作用而移除的技術(shù),干法刻蝕(dry etching)利用等離子體撞擊晶圓表面所產(chǎn)生的物理作用,或等離子體與晶圓表面原子間的化學(xué)反應(yīng),或者兩者的復(fù)合作用,濕法刻蝕(wet etching)使用的是化學(xué)溶液,經(jīng)過化學(xué)反應(yīng)達(dá)到刻蝕的目的。

      化學(xué)氣相沉積(CVD)。

      物理氣相沉積(PVD)。

      離子注入(Ion Implant)。

      化學(xué)機(jī)械研磨(CMP)。

      清洗。

      晶圓切割(Die Saw)。

      2000多道工藝流程中蘊(yùn)藏著晶圓廠的智慧、核心技術(shù)和雄厚的財(cái)力,技術(shù)含量非常高,且需要長年的積累,并不是購買了先進(jìn)的設(shè)備,就能造出合格的芯片。當(dāng)然,先進(jìn)的設(shè)備也很重要,巧婦難為無米之炊嘛。

      由于投資巨大,技術(shù)含量非常高,特別是較為先進(jìn)的制程工藝,企業(yè)進(jìn)入的資金和技術(shù)門檻高,使得玩家比較少,而且,隨著先進(jìn)制程發(fā)展到3nm、2nm,門檻就更高了,且向前發(fā)展和演進(jìn)的難度超高,僅有的幾個(gè)玩家做起來也很吃力。在這種情況下,很難出現(xiàn)百花齊放的局面,統(tǒng)一標(biāo)準(zhǔn)就相對容易,且能使用較長時(shí)間。

      02封裝測試新標(biāo)準(zhǔn)之爭

      封裝測試是芯片生產(chǎn)的最后一環(huán),多數(shù)情況下,封裝測試的技術(shù)含量和實(shí)現(xiàn)難度比前端的芯片制造低。但芯片封裝也是有標(biāo)準(zhǔn)的,這些標(biāo)準(zhǔn)相對較多,且變化也比前端的芯片制造標(biāo)準(zhǔn)快,特別是芯片正朝著高集成度、小特征尺寸和高I/O方向發(fā)展,對封裝技術(shù)提出了更高要求,隨著SiP及先進(jìn)封裝技術(shù)的出現(xiàn)和發(fā)展,需要重新定義芯片的封裝和測試。與此同時(shí),由于前端芯片制造面臨技術(shù)和工藝發(fā)展瓶頸(如摩爾定律的失效),使后端封裝成為晶圓大廠眼中的決勝關(guān)鍵,近年來,各大晶圓廠都在積極投資研發(fā)先進(jìn)封裝技術(shù)。

      綜上,前端芯片制造工藝難以突破,后端的封裝又相對容易,這兩大因素共同促使新封裝技術(shù)和標(biāo)準(zhǔn)涌現(xiàn)。

      全球范圍內(nèi),先進(jìn)封裝的市場份額在2022年達(dá)到了47.2%,先進(jìn)封裝市場的增速超過了傳統(tǒng)封裝,預(yù)計(jì)到2026年,先進(jìn)封裝的市場份額將提升至50.2%。這種增長主要得益于AI和高性能計(jì)算領(lǐng)域的旺盛需求,這些領(lǐng)域?qū)Ω呒啥、高性能和低功耗芯片有著巨大的需求?/p>

      目前,先進(jìn)封裝技術(shù)仍然以倒裝芯片(Flip-Chip)為主,3D堆疊和嵌入式基板封裝(ED)的增長速度也非常快。此外,其它先進(jìn)封裝技術(shù),如扇出型封裝(Fan-Out)和晶圓級封裝(WLCSP)也在市場上占據(jù)重要位置。這些封裝技術(shù)在提高芯片性能和減少封裝尺寸方面具有顯著優(yōu)勢,廣泛應(yīng)用于智能手機(jī)和其它移動設(shè)備。

      目前,先進(jìn)封裝應(yīng)用最火的就是HBM內(nèi)存。HBM通過邏輯芯片和多層DRAM堆疊來實(shí)現(xiàn)高速數(shù)據(jù)傳輸,每層之間通過硅通孔(TSV)和微凸點(diǎn)連接,突破了帶寬瓶頸,成為Al訓(xùn)練芯片的*。HBM內(nèi)部的DRAM堆疊屬于3D封裝,而HBM與其它部分合封于硅中介層,屬于2.5D封裝。

      在高科技產(chǎn)業(yè),一流企業(yè)制定標(biāo)準(zhǔn),二流企業(yè)執(zhí)行標(biāo)準(zhǔn)。半導(dǎo)體業(yè)是典型代表。

      在先進(jìn)芯片封裝技術(shù)方面,大廠不僅遵守行業(yè)內(nèi)的執(zhí)行標(biāo)準(zhǔn),還要超越這些標(biāo)準(zhǔn),形成自己獨(dú)特的標(biāo)準(zhǔn)和工藝,它們正在積極制定一系列規(guī)范和要求,包括工藝流程、設(shè)備參數(shù)、材料選擇、質(zhì)量控制等。這可以反映出芯片制造企業(yè)的技術(shù)水平和創(chuàng)新能力,有益于贏得客戶、提升競爭力。

      還有一點(diǎn)很重要,那就是與傳統(tǒng)封裝測試工藝不同,先進(jìn)封裝的關(guān)鍵工藝需要在前端芯片制造平臺上完成,是前道工序的延伸。這顯然是臺積電、三星和英特爾等晶圓大廠的先天優(yōu)勢,因此,它們開發(fā)先進(jìn)封裝工藝就更加順理成章了。

      目前來看,在先進(jìn)封裝技術(shù)商業(yè)化方面,臺積電起步早,市場影響力也*。

      當(dāng)下,火爆的HBM內(nèi)存主要采用臺積電的CoWoS封裝技術(shù)。CoWoS是臺積電于2012年研發(fā)的一種2.5D封裝技術(shù),可分為CoW(chip on wafer)和oS(on substrate)兩步,CoW是將計(jì)算核心、I/O die、HBM等裸片封裝在硅中介層上,然后再把CoW裸片整體封裝在基板(Substrate)上,即oS環(huán)節(jié)。CoWoS可以節(jié)省空間,實(shí)現(xiàn)HBM所需的高互聯(lián)密度和短距離連接;還能將不同制程的芯片封裝在一起,在滿足Al、GPU等加速運(yùn)算的需求的同時(shí)控制成本。

      據(jù)Omdia統(tǒng)計(jì),,2023年第三季度,英偉達(dá)售出近50萬個(gè)A100和H100芯片,得益于人工智能和高性能計(jì)算的需求,英偉達(dá)當(dāng)季在數(shù)據(jù)中心硬件上獲得了145億美元的收入。除了英偉達(dá),AMD的最新AI GPU產(chǎn)品MI300也要采用臺積電的CoWoS(2.5D)和SolC(3D)封裝技術(shù)。龐大的需求量導(dǎo)致CoWoS產(chǎn)能供不應(yīng)求。

      除了CoWoS,臺積電還在開發(fā)新的封裝技術(shù),據(jù)報(bào)道,該晶圓代工龍頭已經(jīng)組建了專門的團(tuán)隊(duì),切入專業(yè)封裝測試廠(OSAT)過去多年來一直開發(fā)的FOPLP(Fan-out Panel Level Package)封裝技術(shù)。臺積電開發(fā)的FOPLP可以看作是矩形CoWoS封裝,目前主要針對以英偉達(dá)為主的AI GPU領(lǐng)域,具有單位成本更低、封裝尺寸更大等優(yōu)勢。未來還可以進(jìn)一步整合臺積電3D Fabric平臺上的其它技術(shù),為2.5D/3D先進(jìn)封裝解決方案服務(wù)于高端產(chǎn)品應(yīng)用鋪路。

      看到臺積電在先進(jìn)封裝市場搞得風(fēng)生水起,三星和英特爾要加把勁兒了。

      三星、英特爾也意識到了問題,紛紛投入新一代先進(jìn)封裝技術(shù)的開發(fā)工作。

      目前,三星自研的先進(jìn)封裝技術(shù)和服務(wù)包含I-Cube(2.5D),以及X-Cube(3D)等。對于智能手機(jī)或可穿戴設(shè)備等需要低功耗內(nèi)存的應(yīng)用,三星已提供面板級扇出型封裝和晶圓級扇出型封裝平臺。

      三星的I-Cube封裝技術(shù)有多個(gè)版本,其中,I-Cube S是一種異構(gòu)技術(shù),將一塊邏輯芯片與一組HBM裸片水平放置在一個(gè)硅中介層上,可實(shí)現(xiàn)高算力、高帶寬數(shù)據(jù)傳輸及低延遲,I-Cube E技術(shù)采用硅嵌入結(jié)構(gòu),擁有PLP(面板級封裝技術(shù))大尺寸、無硅通孔結(jié)構(gòu)的RDL中介層等特點(diǎn)。H-Cube是一種混合載板結(jié)構(gòu),將ABF載板和 HDI(高密度互連)技術(shù)相結(jié)合,可在I-Cube 2.5D封裝中實(shí)現(xiàn)較大封裝尺寸。

      英特爾正在推廣其嵌入式多芯片互連橋(EMIB)2.5D封裝技術(shù)。結(jié)構(gòu)簡單、信號干擾低是EMIB的主要優(yōu)勢,應(yīng)用這一技術(shù),封裝過程中無需制造覆蓋整個(gè)芯片的硅中介層,以及遍布在硅中介層上的大量硅通孔,使用較小的硅橋在裸片間進(jìn)行互聯(lián)即可。與普通封裝技術(shù)相比,EMIB由芯片I/O至封裝引腳連接并未發(fā)生變化,無需再通過硅通孔或硅中介層進(jìn)行走線。這種架構(gòu)和工藝,不僅可以降低不同裸片間的傳輸延時(shí),還減少了信號傳輸干擾。

      由于三星和英特爾的先進(jìn)制程(5nm以下)市場影響力和商業(yè)化水平明顯弱于臺積電,在這種情況下,對前端芯片制造工藝和平臺依賴度很高的先進(jìn)封裝技術(shù),就很難打開局面,賺錢能力有限。

      由于先進(jìn)封裝的市占率越來越大,晶圓廠又有先天發(fā)展優(yōu)勢,這就使傳統(tǒng)OSAT封測廠有些尷尬,發(fā)展腳步不如臺積電那么順暢。臺積電在先進(jìn)封裝領(lǐng)域的強(qiáng)勢地位,促使其將更多資源投向先進(jìn)封裝技術(shù)和服務(wù),以進(jìn)一步鞏固市場地位。這可能會使OSAT企業(yè)的機(jī)會越來越少。

      日月光投控、安靠科技等傳統(tǒng)OSAT大廠并不會坐以待斃。

      就廣義上的先進(jìn)封裝而言,傳統(tǒng)OSAT依然占據(jù)著較大的市場份額,據(jù)Yole統(tǒng)計(jì),2022年,先進(jìn)封裝市場,OSAT的市場份額為65.1%,IDM的市場份額為22.6%,晶圓代工廠的市場份額為12.3%。其中,日月光占比最高,達(dá)到25.0%,安靠占比12.4%,臺積電占比12.3%,三星占比9.4%,英特爾占比6.7%。然而,IDM和晶圓代工廠主攻高端3D封裝,而OSAT普遍較為傳統(tǒng),主攻中低端倒裝、晶圓級封裝,這在AI用處理器和HBM內(nèi)存快速發(fā)展的當(dāng)下,發(fā)展的勢在IDM和晶圓代工廠一邊,要想趕上發(fā)展潮流,OSAT封測廠必須將更多資源投向高端封裝工藝和服務(wù)。

      以封測龍頭企業(yè)日月光為例,正在開發(fā)新的封裝技術(shù),如扇出型基板上晶圓封裝(FOCoS)。FOCoS是一種安裝在高引腳數(shù)球柵陣列 (BGA) 基板上的扇出封裝倒裝芯片技術(shù),扇出封裝具有重新分布層(RDL),允許在多個(gè)芯片之間構(gòu)建更短芯片到芯片 (D2D) 互連,倒裝芯片安裝到BGA基板上。FOCoS-CF由兩個(gè)面朝下的ASIC小芯片組成,通過Cu通孔直接與RDL連接,硅裸片和扇出RDL之間沒有微凸塊。FOCoS-CL中,ASIC裸片和兩個(gè)HBM通過RDL和Cu微凸塊連接。FOCoS-Bridge使用硅橋芯片嵌入扇出RDL層連通ASIC和HBM。

      為了趕上先進(jìn)封裝熱潮,日月光投控財(cái)務(wù)長董宏思指出,面對當(dāng)前市場的需求,將增加2024年的資本支出,在2023年15億美元的基礎(chǔ)上提高一倍。其中,封裝支出占比約53%,測試支出占比約38%。先進(jìn)封裝是投資重點(diǎn)。

      03中國大陸封測廠加緊跟上

      在先進(jìn)封裝發(fā)展如火如荼的當(dāng)下,中國大陸相關(guān)企業(yè)也在開發(fā)相關(guān)技術(shù),爭取跟上產(chǎn)業(yè)發(fā)展腳步。

      長電科技是中國大陸封裝行業(yè)的領(lǐng)軍企業(yè),該公司正在開發(fā)XDFOI技術(shù)(2.5D超高密扇出型封裝)。該封裝技術(shù)可以將不同功能的裸片整合在系統(tǒng)封裝內(nèi),特別適用于對集成度和算力要求較高的應(yīng)用,如FPGA、CPU、GPU和5G網(wǎng)絡(luò)芯片。XDFOI技術(shù)不僅可以提高集成度,還可以提升性能和功率效率。

      通富微電的VISionS技術(shù)能夠?qū)崿F(xiàn)多層布線,將不同工藝和功能的Chiplet小芯片高密度集成,提供晶圓級和基板級封裝解決方案。該公司已經(jīng)實(shí)現(xiàn)了堆疊NAND Flash和LPDDR封裝的量產(chǎn),其3D存儲封裝技術(shù)處于國內(nèi)*水平。

      華天科技推出了3D Matrix技術(shù),集成了硅通孔、eSiFo(Fan-out)和3D SIP等先進(jìn)封裝技術(shù),F(xiàn)an-out技術(shù)通過在基板上刻蝕挖槽,將芯片放置在凹槽內(nèi),再進(jìn)行重新布線和封裝,顯著提高了封裝密度和性能。

      此外,華為、比亞迪半導(dǎo)體、阿里巴巴等產(chǎn)業(yè)鏈多個(gè)環(huán)節(jié)上的企業(yè),在封裝設(shè)計(jì)、應(yīng)用和市場推廣等方面發(fā)揮著重要作用。

      文章內(nèi)容僅供閱讀,不構(gòu)成投資建議,請謹(jǐn)慎對待。投資者據(jù)此操作,風(fēng)險(xiǎn)自擔(dān)。

    即時(shí)

    TCL實(shí)業(yè)榮獲IFA2024多項(xiàng)大獎(jiǎng),展示全球科技創(chuàng)新力量

    近日,德國柏林國際電子消費(fèi)品展覽會(IFA2024)隆重舉辦。憑借在核心技術(shù)、產(chǎn)品設(shè)計(jì)及應(yīng)用方面的創(chuàng)新變革,全球領(lǐng)先的智能終端企業(yè)TCL實(shí)業(yè)成功斬獲兩項(xiàng)“IFA全球產(chǎn)品設(shè)計(jì)創(chuàng)新大獎(jiǎng)”金獎(jiǎng),有力證明了其在全球市場的強(qiáng)大影響力。

    新聞

    敢闖技術(shù)無人區(qū) TCL實(shí)業(yè)斬獲多項(xiàng)AWE 2024艾普蘭獎(jiǎng)

    近日,中國家電及消費(fèi)電子博覽會(AWE 2024)隆重開幕。全球領(lǐng)先的智能終端企業(yè)TCL實(shí)業(yè)攜多款創(chuàng)新技術(shù)和新品亮相,以敢為精神勇闖技術(shù)無人區(qū),斬獲四項(xiàng)AWE 2024艾普蘭大獎(jiǎng)。

    企業(yè)IT

    重慶創(chuàng)新公積金應(yīng)用,“區(qū)塊鏈+政務(wù)服務(wù)”顯成效

    “以前都要去窗口辦,一套流程下來都要半個(gè)月了,現(xiàn)在方便多了!”打開“重慶公積金”微信小程序,按照提示流程提交相關(guān)材料,僅幾秒鐘,重慶市民曾某的賬戶就打進(jìn)了21600元。

    研究

    2024全球開發(fā)者先鋒大會即將開幕

    由世界人工智能大會組委會、上海市經(jīng)信委、徐匯區(qū)政府、臨港新片區(qū)管委會共同指導(dǎo),由上海市人工智能行業(yè)協(xié)會聯(lián)合上海人工智能實(shí)驗(yàn)室、上海臨港經(jīng)濟(jì)發(fā)展(集團(tuán))有限公司、開放原子開源基金會主辦的“2024全球開發(fā)者先鋒大會”,將于2024年3月23日至24日舉辦。